cancel
Showing results for 
Search instead for 
Did you mean: 

GRC 10 - User Simulation not working for Risk Analysis from Dev to Production

Former Member
0 Kudos

Risk Analysis is working fine for all systems , but when we try to do the simulation from GRC Dev to ECC Production , it is not showing any results.

Any ideas on how to resolve this

Accepted Solutions (0)

Answers (2)

Answers (2)

Former Member
0 Kudos

This message was moderated.

Former Member
0 Kudos

Hi Anil,

What is yout selection criteria while running the simulations.?

We have some notes which could help you in rectifying such issues. You can refe notes: 1895502 & 1953347

Kindly let us knowif issue is still there.

Regards,

Ameet

Former Member
0 Kudos

Thanks Amit. I am running at User Level . Just for testing puposes I have tried to ass SAP_ALL to the user and ran it from GRC Dev to ECC Production .. it doesnt give any results.

The Notes you have mentioned are not applicable to us.

Also addtion of GRC Dev System also in the ECC Prod as Plug In is not possible as it has already GRC Prod System

Colleen
Advisor
Advisor
0 Kudos

Hi Anil

Why are you connecting Prod to Dev?

Are your functions based on logical systems of connectors? If logical, did you assign your Prod ECC system to the Integration Framework? Running Sync job should demonstrate this.

For the user in question, have you excluded it from your selection criteria of configurations parameters? You could always trying running risk analysis for a specific risk (that you know is in Production) instead of a user or run it over your roles. That will verify rule set and integration framework.

Regards

Colleen

Former Member
0 Kudos

Thanks Colleen. We are doing some testing by connecting multiple systems .

THis is not required now .All good with simulation . I will close the thread

Former Member
0 Kudos

Hi Anil,

We have GRC 10.0 configured and running except for the simulation. Could you help us understand how you got it running?

The access risk analysis works fine, the issue is just with the simulation.

Thanks,

Apoorva